Umvikeli we-Ethernet Surge, i-PoE yokuvikela imingcele yedivayisi yokuhlola (Ingxenye I) - Umqondo oyisisekelo wokudideka


1. Ijubane ledatha ne-bandwidth yesiginali

Ukudluliswa kwe-Ethernet kufanele kuqala kuhlukanise "umkhawulokudonsa wesiginali" kanye "nesilinganiso sedatha" imiqondo emibili, ingahlukanisa kwiyunithi, eyodwa i-MHz, enye i-Mbps. Ikhebuli ye-RJ45 cat5 / 5e yenethiwekhi ye-Ethernet (amazinga wokuqala womugqa we-cat5 asikiwe, manje umugqa we-cat5 obaluliwe ubhekise kulayini omkhulu we-cat5e), ikhebula le-RJ45 cat6 Network Ethernet lingaqhuba idatha ye-gigabit, kuphela i-cat5e ne-cat6 uqobo isibonisi somkhawulokudonsa, yenza uhlobo lweprothokholi umehluko. Isibonelo, ukuthi ububanzi bomgwaqo bungakanani, nokuthi imoto ingagijima kanjani emgwaqeni, yimiqondo emibili, kepha kukhona ukuhlangana okuthile, lapho imoto isiningi futhi ifuna ukugijima ngokushesha, leyo yindlela ebanzi kakhulu.

  • umugqa we-cat5e 100 MHZ umkhawulokudonsa wesiginali ophakeme, idatha ephezulu kakhulu ingasebenza nge-1000 Mbps.
  • umkhawulokudonsa womugqa we-cat6 womugqa we-250 MHZ, ophakeme kakhulu angaqhuba idatha ye-5 Gbps.

Finyelela idatha ngezinguquko ezihlukile zohlobo lwephrothokholi.

Idivayisi yethu yokuvikelwa kwenethiwekhi ye-MB network gigabit yenethiwekhi iyinkomba ngokwezinga le-MB ne-gigabit.

2. Ukudluliswa okujwayelekile kwe-Ethernet

Izinga le-Gigabit Ethernet ligxila ezinhlotsheni ezintathu zokudlulisa okuphakathi, i-single-mode fiber; Igagasi elide ku-multimode fiber laser (elibizwa nge-1000 base LX) kanye ne-shortwave multimode fiber laser (ebizwa nge-1000 base SX); i-1000 base CX medium, umaphakathi ungaba kusihlangu se-equilibrium 150 ohms ekudlulisweni kwentambo yethusi. Ikomidi le-IEEE802.3 z lilingise i-1000 base-t ejwayelekile evumela i-Gigabit Ethernet ku-cat5e ne-cat6 UTP esontekile-pair yandisa ibanga lokudlulisa lamamitha ayi-100, isebenzisa kakhulu ukwakha izintambo zangaphakathi zokwakha nge-cat5e yekhebula le-UTP elisontekile, ukuqinisekisa ukuthi izimali zomsebenzisi ngaphambilini ku-Ethernet, i-Ethernet esheshayo.

Ukudluliswa okungu-1000 base-t no-100 base-t kusetshenziswa ifrikhwensi efanayo yewashi, kepha ngokudluliswa kwamasignali okunamandla kakhudlwana nohlelo lokufaka amakhodi / lokukhipha amakhodi, lolu hlelo lungaba kusixhumanisi kabili ukudluliswa kwedatha okuyisisekelo okungaphezu kwekhulu. (Kusuka I-Baidu encyclopedia)
Amanethiwekhi wokuhlola we-gigabit abonakalayo angaba kumkhawulokudonsa wesiginali we-100 MHZ noma we-250 MHZ aphelelwe ama-1000 Mbps. Zonke izinhlobo zezinhlobo zamakhebuli zibhalwe etafuleni elingezansi kwejubane ledatha elihambisanayo.

StandardubendeLinewireUmkhawulokudonsa wesiginali
I-10BASE-T10Mbps2I-Cat310MHz
100BASE-T4100Mbps4I-Cat315MHz
I-100VG-AnyLAN100Mbps4I-Cat315MHz
100BASE-TX100Mbps2I-Cat580MHz
I-ATM-155, TP-PMD155Mbps2I-Cat5100MHz
I-1000BASE-T1000Mbps4Ikati5 / 5e100MHz
I-2.5GBase-T2.5Gbps4I-Cat5e100MHz
1000BASE-TX1000Mbps4I-Cat6250MHz
I-ATM-1.2G, FC1.2G1000Mbps4I-Cat6250MHz
I-5GBASE-T5Gbps4I-Cat6250MHz

Amaphrothokholi ajwayelekile wohlelo lokusebenza ahambisana nesivinini sedatha, izintambo, ububanzi besiginali (kusuka ku-FLUKE manual manual)

Izindinganiso zohlelo ngalunye ziyimithetho yenani lomkhawulo lohlolo, izinga elikhethiwe liyakhethwa ukunquma isisekelo.

Umvikeli ojwayelekile we-100Mbps Ethernet surge (device protection protection) asebenzisa okungu-2 kokuvikelwa kolayini, kufanele akhethe i-cat5 100 base-TX, ahlola ibhendi yemvamisa engu-80MHz, isivinini sedatha yokuhlola yi-100Mpbs.

Umvikeli ojwayelekile we-1000Mbps Ethernet (umshini wokuvikela ukuqhuma), usebenzisa amabhangqa ama-4 wokuvikelwa kolayini, okokuqala qinisekisa ukuthi i-jumper yi-cat5e noma i-cat6, bese ukhetha umugqa ohambelana ne-cat5e: cat5e 1000 Base-T, ukuhlola ibhendi yemvamisa engu-250 MHZ, isivinini sedatha yokuhlola 1000 Mbps; umugqa we-cat6: cat6 1000 Base-TX, ATM-1.2G, FC1.2G, ukuhlola ibhendi yemvamisa engu-250 MHZ, isivinini sedatha yokuhlola yi-1000 Mbps. Inetha leGigabit lisebenzisa ukuvikelwa kolayini aba-4.

Ngokungeziwe ekusetshenzisweni kokujwayelekile, kepha nokuhlolwa ngezinga lamazwe noma izifunda ezahlukahlukene, njenge-IEEE802.3; Amazinga we-GB / T50312-2016 afana nekati 6 / 5e CH amaningana wokuhlola okujwayelekile kwe-Ethernet, izinhlobo ezihambisanayo ku-protocol ejwayelekile, ngokwesibonelo, ukunciphisa, ukubuyisa ukulahlekelwa kanye ne-crosstalk.

3. Test Ukukhetha gxuma umugqa

I-Ethernet SPD ikuchungechunge oluya ku-CHANNEL, ngakho-ke idinga umugqa weqa. Ngokuya nge-T568A noma i-T568B jumpers basebenzisa imithetho ehlukile yokusebenzisa, lesi sibalo esilandelayo. Khetha ikhebuli eyi-RJ45 eyiyo ye-Ethernet SPD ngokuvumelana nesidingo sohlelo lokusebenza oluqondiwe.

Inethiwekhi ye-100Mbps, idivaysi yokuvikela inethiwekhi ye-gigabit kufanele ihlukaniswe yizinhlobo zekhebula ze-cat5e noma ze-cat6, imigqa ye-cat6 ngokuvamile isebenzisa ifreyimu yokuzihlukanisa, ububanzi be-strand wire eyodwa bukhulu kakhulu, futhi ukwenze ngokuya ngemvelo ehlukile: UTP ngaphandle kokuvimba; Isihlangu sangaphandle se-ScTP \ FTP; I-STP lonke ibhulokhi (umugqa uye kusihlangu sangaphandle) ingabhekisa kulo mdwebo olandelayo.

Uhlobo lwentambo ye-Ethernet

Njengama-ejensi wokuhlola wesithathu, kufanele nge-STP cat6 jumper, njenge-test spare jump line. Izophendula yonke imiphumela yokuhlolwa kwesampula yedivayisi yokuvikela yokwanda uqobo, kunokuba igxume umugqa.

Noma kunjalo, imingcele yokuxhumana ye-100M / gigabit Ethernet yedivayisi yesivikelo sokuqhuma ayikho kububanzi bebhendi le-100/1000 MHZ ngaphansi kokuncishiswa kokuhlolwa, ukubuyiselwa kokulahleka kanye ne-crosstalk, noma kungabi nabaguquli abalinganiselayo abangahambisani nokuhlolwa kwe-vector video network analyzer test, nansi umqondo oyisisekelo wokudideka.

Umvikeli we-Ethernet Surge (Amandla we-Ethernet PoE wokuvikela idivayisi yokuvikela) imingcele Ukuhlolwa (Ingxenye II) - Umthelela wedivayisi yokuvikela umbani kumapharamitha wesixhumanisi esinejubane

(Lapha ungakhulumi ngodaba ngamandla asatshalalisiwe nokunye okujwayelekile kwezinkinga zezinto zedivayisi yokuvikela ukuqhuma)

Umvikeli wokuqhuma kwe-Ethernet uthinta imingcele emithathu yokudlulisa eyisixhumanisi kusixhumanisi se-Ethernet.

Ukulahleka kokufakwa kwe-IL; I-Crosstalk phakathi komugqa nolayini NEXT noma FEXT, bese ubuyisa ukulahleka kwe-RL. Njengoba i-Ethernet SPD iphazamisa kulayini we-Ethernet, ukusebenzisa ukuxhumana kwe-jumper wire. Idivayisi ayihlanganiswanga kuphela nezinto ezihambisanayo, ngasikhathi sinye, ngenxa yokuthi ibhodi lesifunda eliphrintiwe lingathola umugqa kuphela umugqa oqondile, ububanzi bomugqa, ulayini wendawo enqamulelayo nekhebula lokuqala le-cat6 nekati5e, impedance enkulu uguquko.

(1) Ukufakwa kokulahleka okwenziwe ukumelana ne-electrode phakathi kwe-SPD, ububanzi bocingo bunethonya elithile. Njengoba ujoyine isivikeli sokukhuphuka, ukwakha iphoyinti elisha lokuxhuma le-RJ45, amaphuzu wokumelana nokuxhumana nomphumela ekulahlekelweni kokufakwa. Lokhu ukukhuphuka okuphelele kokuphikiswa kwe-loop. Uma ukulahleka kokufakwa kukhulu kakhulu, khona-ke isinali ngeke likwazi ukusabalalisa kude kakhulu, i-wiring ayinakwenzeka ukufeza isabelomali sephrojekthi esizayo

Umdwebo 1 - ukusatshalaliswa kwe-impedance yedivayisi yokuvikela ukuqhuma

(2) I-Crosstalk phakathi komugqa nolayini, ekuqaleni besebenzisa amapheya asontekile, umugqa wokuhlukaniswa phakathi kwamathambo, ukwandisa ububanzi bezintambo, ukwandisa izinga lekinky, nomugqa wokuvikela ukuhambisa ukushesha okukhulu. Kodwa-ke, ebhodini lesifunda lesivikelo sokukhuphuka, akunakwenzeka ukugoqa-ngazimbili, abangenakuzisiza bajoyine imigqa eminingi efanayo futhi banciphise izinga lekinky. Kulayini wokudlulisa ngesivinini esikhulu, imfuneko ejwayelekile ixazululwa ingabi ngaphezu kwe-13 mm ubude, ukuze kuqhutshwe inethiwekhi yejubane elikhulu, kepha umvikeli wokuqhuma akakwazi ukufaka izintambo ze-PCB ze-13 mm kuphela. Okwe-crosstalk kungenye yezinto ezithinteka kakhulu ezinkomba zenethiwekhi ezisheshayo, imvamisa ngesikhathi sokufakwa kwekhanda le-crystal, elifushane ngamamilimitha ambalwa, i-wiring efanayo izobhekwa ngokujulile phakathi kwe-crosstalk, ingasaphathwa eyomvikeli wokuqhuma.

Umdwebo 2 - ibhodi lesifunda eliphrintiwe le-SPD

Ibhodi lesifunda eliphrintiwe, noma kungenakutholwa umphumela wokubhangqa okusontekile, kepha ukwakheka okunengqondo kunganelisa imfuneko yokusebenzisa

(3) ukulahleka kokubuyisa, kungumphumela wokulimala ekuqhubekeni kwe-impedance. Ihlukile kule impedance kanye ne-impedance ye- "Part I" esikhulume ngayo, lapha ngokuyisisekelo ukudlulisa i-impedance yezici, ejwayelekile ikhebula le-twist-pair elingu-100-120, umzimba wekhebula we-inductance kanye ne-capacitance. Isivikeli sokwenyuka sifana nentambo yebhodi lesifunda echazwe ngenhla, ukuqhubeka kwe-impedance yesekethe yomonakalo omkhulu (njengoba kukhonjisiwe kuMdwebo 2 - ibhodi lesifunda eliphrintiwe le-SPD). Ukwethulwa kwemigqa kusixhumi, kudinga futhi amalunga amancane we-solder ngangokunokwenzeka, isivikeli sokukhuphuka, ibhodi lesifunda lamajoyini we-solder futhi awubonanga ubukhulu benkinga, iphini leshubhu lokukhipha elingaphezu kuka-2 mm. Amalunga we-solder alimaza ngqo i-capacitance yomugqa. Kubonakaliswe emuva ku-loop, kukhula i-echo yokuthi kukhulu ukuguquka kokumelana.

Ifomula ye-impedance yesici

Ngokwenqubo ye-impedance yesici, singabona inqobo nje uma isimo sokushintshwa kwesiteshi sokudlulisa, impedance yesici izoshintsha

Ngemuva kokuxoxa ngenhla yomgogodla emithathu engenhla, futhi kufanele inake enye ipharamitha, ebizwa nge-SNR (Signal to Noise Ratio) ACR. Isilinganiso sesiginali-to-noise singasetshenziswa njengokulungiswa kwemingcele emithathu edlule, ukuthola izindlela zokuhlaziya okuphelele. Amandla esignali anqunywa ukulahleka kokufakwa. Amandla emisindo anqunywa yi-crosstalk ne-echo. Umsindo weCrosstalk ne-echo unamandla, kepha ukulahleka kokufakwa kwesisindo esincane kuphezulu, ukudluliswa kwesiginali jikelele kokuhlanekezelwa kwesiginali, hhayi njengophawu lwenani lomsindo kuncane, kungahlulelwa njengokufanelekile. Ngakolunye uhlangothi, ukulahleka kokufakwa kuncane, kepha i-echo ye-crosstalk, isilinganiso se-signal-to-noise sikhulu, ukudluliswa kolayini ngeke kufaneleke.

Umdwebo 3 - isilinganiso sesignali-to-noise

Umvikeli wokwanda naye uzoletha enye inkinga, lokho ukungalingani komugqa. Indawo enqamulelayo yomugqa kanye nomugqa omude futhi omfushane, konke lokhu kwenziwa ngebhodi lesifunda lezintambo. Ngoba, isamukeli siyi-amplifier ye-differential-mode, okusho ukuthi, phakathi kwemigqa emibili yesiginali yemodi ehlukile iyakhuliswa kanye nesiginali yemodi yabo ejwayelekile phansi, noma ngabe kungakanani ukuphazamiseka, i-offset izoba i-amplifier. Isignali yokuphazanyiswa kwangaphandle iyindima yemigqa emibili eku-inthanethi ngasikhathi sinye, imigqa emibili ngemuva kokuphazamiseka okufanayo, kusignali yokuphazanyiswa kwendlela efanayo iyafana, kumamukeli wemodi ehlukanisiwe kuzosuswa. Izintambo ezimbili, noma kunjalo, uma ubude buhlukile, ngezinga elihlukile, uhlelo lwezintambo luhlukile, ibanga elihlobene nesiginali yangaphandle lihlukile, ngakho-ke umugqa womabili, owenziwe yisiginali yokuphazanyiswa kwemodi ejwayelekile umehluko phakathi kokuphakeme okuphansi, finyelela kumamukeli wesiginali yemodi ehlukile ngeke isuswe ngokuphelele, yakha isignali yokuphazamiseka. Ikomidi lochwepheshe elijwayelekile libonakala lilinganisela imingcele, ikakhulukazi inentshisekelo kuyo, ngoba limelela ikhono eliphikisana kakhulu nokuphazamiseka.

Umdwebo 4 - ukungalingani emugqeni kuya kolayini kubangela ukuphazamiseka akunakulinganiswa okulinganayo

Ngokuvamile, ukuvikelwa kokuqhuma, kwandise iphuzu lokuhluleka ngokweqile. Emehlweni wonjiniyela wenethiwekhi, idivayisi yokuvikela ukuqhuma ayisekeli isixhumanisi esinejubane eliphezulu. Lapho ukwamukelwa kuyo yonke inethiwekhi, inqobo nje uma ijubane ligijima ngokushesha, hlola okokuqala ukuthi ngabe ufaka i-SPD noma cha. Sekuyinto ejwayelekile yokuhlolwa. Emehlweni onjiniyela be-SPD, i-Ethernet SPD yabo ngokusebenzisa izindlela ezahlukahlukene zokwakhiwa kobungcweti kanye nemingcele yokuxhumana enhle kakhulu. Kuhle kakhulu kepha lokhu kungenxa yedivayisi yokuvikela ukuqhuma uqobo, maqondana nokwamukelwa kwesiteshi samamitha ayikhulu, idivayisi yokuvikela ukuqhuma ithatha izinsiza eziningi zenethiwekhi.

Umdwebo 5 - I-SPD efanelekayo nayo ithatha izinsiza zenethiwekhi

Umdwebo 5 - I-SPD efanelekayo nayo ithatha izinsiza zenethiwekhi

Ngakho-ke, yonke imingcele yokuhlola yedivayisi yokuvikela ukuqhuma, ngasikhathi sinye yokunamathisela ukubaluleka okukhulu emphumeleni wokuhlolwa ifanelekile, ukunakwa ukuxhuma kusiteshi sonke esifanele ukujaja ukuthi mangaki imali evunyelwe? Uma umkhawulo omkhulu kakhulu ngemuva kokufakwa kokwamukelwa kwephrojekthi yonke, uzovame ukufanelekela ngokwengeziwe.

I-Ethernet Surge Protector (PoE surge protection device) Ukuhlolwa kwemingcele (Ingxenye III) - GUkuhlolwa komvikeli we-igabit Ethernet

1. Ukulungiselela ukuhlolwa

(1) Ukulungiselela ngaphambi kokuhlolwa, ukuvivinya umugqa wejump, abakhiqizi bezinto zokuvikela jikelele bazofakwa umugqa wejump, osetshenziselwa ukuxhuma ukwakhiwa kwamadivayisi wokuvikela umugqa futhi nomugqa uyaphazamiseka. Umagazini olandelayo uzoba okhethekile. Sisebenzisa umugqa wokuhlola imishini yokuhlola imishini yokuhlola ejwayelekile.

(2) Sikhetha ucingo lwejumper lokuhlola kumitha noma kumamitha amabili noma ngokujwayelekile, ngakho-ke sixhuma idivayisi yokuvikela ukuqhuma, ngemuva kokwakhiwa kokuhlolwa kwemingcele yesiteshi ukuthi inembe, ngoba izintambo zokuxhuma zifushane kakhulu zingadala ipharamitha ethile amanani wokuhlola, ukulahlekelwa okubuyayo, ngokwesibonelo, kuzoba kukhulu ngenxa yokuthi imigqa imifushane kakhulu.

(3) Khetha izinga lokuhlola, khetha i-standard base 1000 ejwayelekile esetshenzisiwe kanye ne-GB50312-2016 ejwayelekile kazwelonke. I-base-t esetshenzisiwe ejwayelekile ye-1000 isuselwa ekusetshenzisweni okukhethekile kwe-1000 Mbps ejwayelekile, ikati 5e GB50312-2016 njengezinhlobo zekati ezinhlanu zamazinga wokulinganisa we-Ethernet, ngesikhathi sokwamukelwa, isilinganiso sebanga elijwayelekile le-5 m - 1000 Gbps, idivayisi yokuvikela ukuqhuma uma ukufinyelela ekwamukelweni kwesixhumanisi, ngaleli zinga. Ekugcineni i-GB2.5-50312 ikati 2016 isivinini sesixhumanisi sokusaba ngokubanzi: 6 m - 1000 Gbps, idivayisi eyisisekelo yokuvikela ukuqhuma. Ngakho-ke abakhiqizi bezokuvikela kufanele bacace, bahlangane ngokuya ngezinga le-gigabit net 5 base-t, noma banelise konke ukudluliswa kolayini iGigabit.

Amanani wokuhlola wedivayisi yokuvikela ukuqhuma ngaphansi kwemiphumela ejwayelekile ehlukile afanayo, ushintsho olujwayelekile ngalunye ngezinhlamvu ezahlukahlukene nomkhawulo wenani lokunquma kwenani lephuzu.

2. Amapharamitha wokuhlola wedivayisi yokuvikelwa kwenethiwekhi ye-gigabit.

Ukufaka isicelo se-1000 base-t ejwayelekile kanye ne-GB50312-2016 ikati 5e CH test test.

(1) Ukulahleka kokufakwa

Ukuqhathaniswa kokulahleka okujwayelekile kokufakwa okujwayelekile kwe-IL

ChaStandardImvumeInani elincane
1I-1000BASE-TI-21.5dB / 100MHzI-2.5dB / 100MHz
2I-GB50312 CAT 5eI-21.5dB / 100MHzI-2.5dB / 100MHz

Umdwebo 6 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T IL

Umdwebo 6 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T IL

Umdwebo 7 - GB50312-2016 ikati 5e imiphumela yokuhlolwa kwe-IL

Umdwebo 7 - GB50312-2016 ikati 5e imiphumela yokuhlolwa kwe-IL

Kusukela lapho kuhlaziywa khona, imigqa emine yakho konke ukulahleka kokufakwa inganelisa izidingo zeleveli, ngaphansi kwejaji elijwayelekile lomkhawulo inani lomugqa obomvu, ukunaka isibonelelo sokufakwa kokulahleka kwe-21.5 dB, leli nani ku ukufakwa kobunjiniyela ngokuzayo, ukuxhumanisa ubude kubaluleke kakhulu. Ukulahleka kokufakwa kuyizidingo ezihlanganisiwe, noma umkhawulo ojwayelekile ohlukile.

Ngaphandle kwalokho, abakhiqizi bedivayisi yokuvikela ukuqhuma bavame ukubizwa ngokuthi ukulahleka kokufakwa kwesivikelo se-Ethernet ngale ndlela elandelayo: 0.5 dB no-0.5 dB / 100m, ukucaciswa okuphezulu, ukuhlolwa ngeke kube nomphumela onjalo, ukukhishwa okulandelayo esivivinya kuphela umugqa we-jump kungabonakala, ukulahleka kokufakwa komugqa wemitha elingu-1 kungu-0.5 dB / 100 MHz, ngisho nedivayisi yesivikeli. ngakho-ke phakamisa ukuthi abakhiqizi bangabeka itafula le-0.5 dB / 10 MHz noma i-2.5 dB / 100 MHz.

(2) I-crosstalk ngasekupheleni kokulandelayo NEXT

Okubili kokuqhathanisa okujwayelekile okuseduze nokuphela NEXT qhathanisa

Cha.StandardImvumeInani elincane
1I-1000BASE-TI-0.3dB / 12.4MHzI-37.2dB / 51MHz
2I-GB50312 ikati 5e-2.8dB / 12.4MHzI-37.2dB / 51MHz

Umdwebo 8 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T NEXT

Umdwebo 8 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T NEXT

Umdwebo 9 - GB50312-2016 ikati 5e Umphumela wokuhlola olandelayo

Umdwebo 9 - GB50312-2016 ikati 5e Umphumela wokuhlola olandelayo

Idivayisi yokuvikela ukuqhuma kwe-gigabit Ethernet efanelekayo, yonke i-crosstalk eseduze nendawo yokuphela enquma inani elingaphezu komugqa obomvu. I-SPD ye-Ethernet engafanelekile, eminye imigqa engaphezu koku, ukwahlulelwa komugqa obomvu. Kufanele sinake imiphumela yokuhlolwa, imingcele yesabelo sesiteshi sonke. No.2, 12,4MHz iphoyinti lokuvama kanye ne-2.8dB (inani elingaphansi kwe-3dB), lapha badinga isilinganiso esibanzi sesignali nomsindo ukuthola umphumela wokuhlolwa kwe-ACR.

(3) Buyisela ukulahleka kwe-RL

Buyisela ukulahleka kwe-RL qhathanisa

ChaStandardInani lomkhawuloImvumeInani elincane
1I-1000BASE-TI-8dB / 100MHzI-1.4dB / 100MHzI-9.4dB / 100MHz
2I-GB50312 ikati 5eI-10dB / 100MHz-0.6 dB / 100MHzI-9.4dB / 100MHz

Umdwebo 10 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T RL

Umdwebo 10 - imiphumela ejwayelekile yokuhlola engu-1000 Base-T RL

Umfanekiso 11 - Umphumela wokuhlolwa kwekati engu-GB50312-2016 wekati 5e RL

Umfanekiso 11 - Umphumela wokuhlolwa kwekati engu-GB50312-2016 wekati 5e RL

Siyabona ukuthi uNo. 2, futhi kuphuzu lokuvama le-100MHz naku-0.6dB (inani elingaphansi kuka-3 dB), lapha futhi kudinga isilinganiso esibanzi sesignali nomsindo ukuthola umphumela wokuhlolwa kwe-ACR.

Ukufanelekela ukwahlulela ukuma komugqa kuhlukile, ukwahlulela okuhlukile kwamasampuli afanayo, ngoba i-GB50312-2016 akuyona inkinga ezintathu ezonquma ngqo imingcele yokudlulisela engafanelekile, zama lolu hlobo lomkhiqizo futhi sihluke ngokuphelele ukuhlolwa komkhiqizo wokuvikela umbani, futhi usebenzisa Umgomo wesiteshi sokudlulisa i-3 db, le SNR yokuhlola ipharamitha, inqobo nje uma isignali yomsindo ihlangabezana nezidingo, umgomo we-3 db uzosebenza ngokuzenzakalela, impela inqubo yonke yokwahlulela okuphelele ukususa imiphumela yokuqonda komsebenzisi.

(4) Isiginali yomsindo i-ACR-N / F

Umdwebo 12 - GB50312-2016 ikati 5e ACR-N

Umdwebo 12 - GB50312-2016 ikati 5e ACR-N

Umdwebo 13 - GB50312-2016 ikati 5e ACR-F

Umfanekiso 13 - GB50312-2016 ikati 5e ACR-F

Umphumela wokuhlola wesignali nomsindo obonakalayo we-SNR muhle kakhulu, ungakhonjwa njenge-NEXT ne-RL umsindo wesiginali unomthelela omkhulu esibonelweni solwazi, ngakho-ke ukudluliswa ngaphakathi kwenkinga ye-3 db kunganquma amapharamitha amathathu okubucayi ngokusebenzisa.

(5) Ukuxhunywa komdwebo wekhebula lenethiwekhi

Imiphumela ehlukile yokuhlolwa komdwebo wiring

Umdwebo wiring wemiphumela yokuhlolwa usebenzisa ikhebula lenethiwekhi elihlukile

Ngaphezu kwalokho sibona umdwebo wiring. Ama-Correlates wedivayisi evamile yokuvikela umbani, asetshenziswa kakhulu kwababili kulayini, 1/2, 3 / 6. Usebenzisa ikati elingu-5 elidala kulayini. Amabili amabili womugqa asebenza ngokuphelele ngesixhumanisi esinejubane elikhulu, esinejubane eliphakathi nesinejubane manje, sizozama ukusebenzisa amabhangqa amane wokuvikela ulayini futhi amane agcina ukwakhiwa kokudlulisa kwejubane lomugqa.

Isendlalelo sokuvikela. Idivayisi yokuvikela i-Surge iyicala lensimbi lokuvikela, kufanele ikhethe i-interface yokuvikela, ngokuthinta igobolondo lensimbi elingaphandle isisekelo esihle, ivikele umthelela wangempela, ivule izintambo zokudlulisela kuzoba nekhono elihambisanayo lokuphazamisa. Lapho kuhlolwa, ithuluzi lokuvikela ukuqhuma lizokwakhiwa ngasikhathi sinye, ukuhlolwa kokudluliswa futhi.

I-Ethernet Surge Protector (PoE surge protection device) imingcele Ukuhlolwa (Ingxenye IV) - Ukuhlolwa kwekhwalithi ekhethekile yomugqa we-Ethernet jump

1. Izinga lomugqa weqa alinakwa nguMkhiqizi we-SPD

Masikhulume ngentambo yenethiwekhi emfushane exhuma umvikeli wokuqhuma kwe-Ethernet. Esikhathini esedlule sishilo ngemingcele eminingi yokudlulisela ye-Ethernet SPD yezinkinga zokwakha nezokuhlola. Chaza umklamo omubi wedivayisi yokuvikela ukuqhuma okwenze ibhodlela lokudlulisa inethiwekhi. Ngaphezu kwalokho, kusenezingxenye zokuletha kalula umkhawulo wamapharamitha, yileykhebula umhlinzeki we-SPD ahlinzeka ngalo, elikhombisa ngezansi.

Ikhebula elihlinzekwe ngumkhiqizi we-SPD

Intambo ikhiqizwe yi-SPD enikeze i-pic2

Ikhebula elihlinzekwe ngumkhiqizi we-SPD

Kulula uma kunolayini wokugxuma lapho ufakwa, kepha umugqa wekhwalithi engalungile uzoletha inkinga ethile.

2. Ikhwalithi yama-brand jumpers ahlukile

Kule divayisi engaphansi kokuhlolwa (i-DUT), imvamisa kukhona umugqa weqa onikezwe ngumkhiqizi we-SPD, ilebula limaka i-cat6 noma i-cat7 kulayini. Sithenga omunye umugqa womkhiqizo ukwenza lolu vivinyo.

Itafula lomugqa wokugxuma kusuka kubakhiqizi abahlukile

ChaBrandAmapharamitha
1I-AMPCOMI-CAT 7 BK
2IZIMPILOINDAWO EPHAKEME IKHATHA6
3UGREENIKHABHULA LE-CAT6
4Umkhiqizi we-SPD unikezelaI-UTP CAT6 4R-6AG IQINISEKILE

izinhlobo ezahlukene ze-wire wire

Izinhlobo zomugqa weqa kusuka kubakhiqizi abahlukahlukene

Siqhathanisa imingcele emithathu eyinhloko yokudlulisa, gxuma umugqa ngokuya ngohlobo lwekhebula le-cat6 kazwelonke ejwayelekile ye-GB50312-2016 cat6 CH ukuze uvivinye, imiphumela yokuhlolwa iluhlu njengoba lungezansi, kuphela umugqa weqa (intambo) enikezwe ngumkhiqizi we-SPD ongafanelekile.

Ake sibone inani lamafomu we-wave lamapharamitha amathathu wokudlulisa asemqoka

Ukulahleka kokufaka IL qhathanisa

ChaBrandImvumeInani elincane
1I-AMPCOMI-34.3dB / 239MHzI-0.7dB / 239MHz
2IZIMPILOI-33.8dB / 231MHzI-0.6dB / 231MHz
3UGREENI-35dB / 244.5MHzI-0.5dB / 244.5MHz
4Umkhiqizi we-SPD unikezelaI-20.1dB / 106.5MHzI-2.4dB / 106.5MHz

Umdwebo 14 - NO. 1 AMPCOM IL

Umdwebo 14 - NO. 1 AMPCOM IL

Umdwebo 15 - NO. 2 PHILIPS IL

Umdwebo 15 - NO. 2 PHILIPS IL

Umdwebo 16 - NO. 3 UGREEN IL

Umdwebo 16 - NO. 3 UGREEN IL

Umdwebo 17 - NO. I-SPD LINE IL

Umdwebo 17 - NO. I-SPD LINE IL

Umugqa weqa lapho abakhiqizi be-SPD bahlinzeka ngawo avele inani elibi kakhulu ku-100 MHz, kuzoletha izinkinga ezinkulu ekudlulisweni kwesilinganiso se-1000 Mbps.

I-crosstalk esiseduze NEXT qhathanisa

ChaBrandImvumeInani elincane
1I-AMPCOMI-17.9dB / 3.9MHzI-68.1dB / 232MHz
2IZIMPILOI-20.1dB / 15.5MHzI-60.3dB / 236MHz
3UGREENI-20.1dB / 3.9MHzI-69.6dB / 231.5MHz
4Umkhiqizi we-SPD unikezelaI-19.1dB / 15.5MHzI-72.6dB / 15.5MHz

Umdwebo 18 - NO. 1 AMPCOM OKULANDELAYO

Umdwebo 18 - NO. 1 AMPCOM OKULANDELAYO

Umdwebo 19 - NO. 2 FILIPI OKULANDELAYO

Umdwebo 19 - NO. 2 FILIPI OKULANDELAYO

Umdwebo 20 - NO. 3 UGREEN OLANDELAYO

Umdwebo 20 - NO. 3 UGREEN OLANDELAYO

Umdwebo 21 - NO. 4 I-SPD LINE NEXT

Umdwebo 21 - NO. 4 I-SPD LINE NEXT

Buyisela ukulahleka kwe-RL qhathanisa

ChaBrandImvumeInani elincane
1I-AMPCOMI-1.3dB / 40.3MHzI-15.4dB / 250MHz
2IZIMPILOI-5.4dB / 40.3MHzI-14.1dB / 227MHz
3UGREENI-11dB / 1MHzI-21dB / 250MHz
4Umkhiqizi we-SPD unikezela-1dB / 124MHzI-10.7dB / 245MHz

Umdwebo 22 - NO. 1 AMPCOM IL

Umdwebo 22 - NO. 1 AMPCOM IL

Umdwebo 23 - NO. 2 PHILIPS RL

Umdwebo 23 - NO. 2 PHILIPS RL

Umdwebo 24 - NO. 3 UGREEN RL

Umdwebo 24 - NO. 3 UGREEN RL

Umdwebo 25 - NO. 4 i-SPD LINE RL

Umdwebo 25 - NO. 4 i-SPD LINE RL

Le ntambo ye-jumper igcwalise imingcele yokulahleka kokubuya kwezinsizakusebenza zesiteshi eziyi-100 m, akukho mali evunyelwe. Vele kukhona okunye okufana ne-SNR, i-signal-to-noise ratio, amandla aphelele aseduze kokuphela kwe-crosstalk amandla aphelele, njll. Phakathi kwalezi zimingcele nemingcele emithathu ebalulekile, inobudlelwano obuhambisanayo, lapha asiphindi ukuhlaziywa.

Ngokwesivivinyo njengoba ubona, enye yezingcingo ezishibhile kakhulu ze-UGREEN brand jumper, ngaphansi kovivinyo olujwayelekile lukazwelonke lwe-cat6, ikhombisa imiphumela emihle kunomkhiqizo ongenisiwe. Ekuqaleni izesekeli ezilula kakhulu, kungani abakhiqizi be-SPD kunzima ukwenza ukucushwa okufanelekile? noma abakhiqizi be-SPD abazange bahlole futhi bahlole lezi zingcingo ezigxumagxuma ezithengwe emakethe. Lezi zinkinga kufanelekile ukuthi ucabange ngazo.

3. Okuthintwa yicingo le-jumper elingafanelekile lapho kuhlolwa i-SPD

Lapho nje usebenzisa i-jumper wire engafanelekile, efakwe i-SPD esiteshini, nayo ingumthelela omkhulu, noma ngabe i-Ethernet SPD ngokuklama ngokucophelela, kuye ngezidingo zejubane lenethiwekhi ye-gigabit, izokwenza imiphumela yepharamitha ishintshe ngenxa yokusebenzisa le nsimbi yejumper.

Ngezansi kokuhlolwa okujwayelekile okungu-1000 base-t ukusebenzisa i-gigabit Ethernet SPD ebucayi efanelekile, lapho usebenzisa ucingo olufanelekayo lwe-jump kanye nocingo olungagunyaziwe ukuze uvivinye, kuzodala ukwamukelwa kokugcina okubili okufanelekile nokungafanelekile. Kumapharamitha amathathu afanayo okudlulisa, isibonelo, okulandelayo kubala ukuqhathanisa kokuhlolwa kwemidwebo.

Ukufakwa kokulahleka IL

ChaBrandImvumeInani elincane
1Ofanelekile weqa ucingoI-22dB / 100MHzI-2dB / 100MHz
2Umkhiqizi we-SPD unikezelaI-19.8dB / 100MHzI-4.2dB / 100MHz

Umdwebo 26 - NO. 1 ukuhlolwa okujwayelekile kweqa ucingo

Umdwebo 26 - NO. Umugqa wokuhlola ojwayelekile ongu-1

Umdwebo 27 - NO. 2 yocingo lomkhiqizi we-SPD IL

Umdwebo 27 - NO. 2 yocingo lomkhiqizi we-SPD IL

Akufanelekile ngaphansi kwejubane le-gigabit. ku-100MHz - ukulahleka kokufakwa kwe-3db.

I-crosstalk esiseduze NEXT

ChaBrandImvumeInani elincane
1Ofanelekile weqa ucingoI-0.2dB / 15.4MHzI-30.7dB / 100MHz
2Umkhiqizi we-SPD unikezela-19.8dB / 16.3MHzI-16.8dB / 87.3MHz

Umdwebo 28 - NO. 1 ukuhlolwa okujwayelekile kwe-jumpers wire NEXT

Umdwebo 28 - NO. 1 ukuhlolwa okujwayelekile kwe-jumpers wire NEXT

Umdwebo 29 - NO. 2 ucingo lwenethiwekhi lomkhiqizi we-SPD OKULANDELAYO

Umdwebo 29 - NO. 2 ucingo lwenethiwekhi lomkhiqizi we-SPD OKULANDELAYO

Imiphumela yokuhlolwa kwe-crosstalk eseduze nokuphela yomehluko osobala kakhulu, ngoba i-SPD ene-jump wire test iyinkungu, i-crosstalk phakathi kwe-3 / 6-4 / 5 ayifanelekile ngokuphelele.

Buyisela ukulahleka RL

ChaBrandImvumeInani elincane
1Ofanelekile weqa ucingoI-3.8dB / 100MHzI-11.8dB / 100MHz
2Umkhiqizi we-SPD unikezela-2.7dB / 52MHzI-7.7dB / 69MHz

Umdwebo 30 - NO. 1 ukuhlola okujwayelekile kweqa ucingo RL

Umdwebo 30 - NO. 1 ukuhlola okujwayelekile kweqa ucingo RL

Umdwebo 31 - NO. 2 ikhebula lenethiwekhi yomkhiqizi we-SPD RL

Umdwebo 31 - NO. 2 yocingo lwenethiwekhi lwe-SPD RL

siyabona kusukela kunani eliqhathaniswayo, kusobala ukuthi izivivinyo ezimbili zisuka kokufanelekelayo kuya kokungafaneleki. Kufanele kucace: intambo yomkhiqizi we-SPD njengengxenye ye-SPD, kufanele ijoyine ukuhlolwa kwe-SPD ndawonye, ​​kungakhathalekile ukuthi i-SPD noma igxume ucingo inqobo nje uma imingcele yesiteshi sokuxhuma ingafanelekile, ekugcineni izonquma ukuthi i-SPD ayifanelekile. Ngakho-ke abakhiqizi be-SPD kumele bahlole futhi bahlole intambo yokugxuma ethengwe emakethe.

Funda kabanzi mayelana neGigabit Ethernet Surge Protector, chofoza ikhasi lewebhu

https://www.lsp-international.com/power-over-ethernet-poe-surge-protector/

Imininingwane engaphezulu mayelana ne-PoE Surge Protection Device DT-CAT 6A / EA, chofoza ikhasi lewebhu

https://www.lsp-international.com/product/dt-cat-6a-ea/

I-LSP izokwazi ukunikeza Amandla afanelekile ngaphezulu kwe-Ethernet PoE Surge Protection Device DT-CAT 6A / EA, futhi yaqinisekiswa yi-TUV Rheinland.

Isitifiketi se-TUV, isivivinyo ngokuya nge-EN 61643-21 ejwayelekile: 2001 + A1 + A2

Qinisekisa isitifiketi: https://www.certipedia.com/certificates/50458142?locale=en

Isitifiketi se-CB, isivivinyo ngokusho kwe-IEC 61643-21: 2000 + AMD1: 2008 + AMD2: 2012

Qinisekisa isitifiketi: https://www.certipedia.com/certificates/05002823?locale=en